Uploaded image for project: 'EtherNet/IP Adapter V2'
  1. EtherNet/IP Adapter V2
  2. PSEIS-892

Parameter checks in set config packet are not according to the API documentation

    Details

    • Type: Change
    • Status: Closed
    • Priority: Minor
    • Resolution: Fixed
    • Affects Version/s: None
    • Fix Version/s: V2.7.13.1, V2.13.1.0, V2.14.0.0
    • Component/s: None
    • Labels:
      None
    • Account:
      SPC EthernetIp Slave (SPCETHERNE)

      Description

      Within the packet EIP_APS_SET_CONFIGURATION_ PARAMETERS_REQ_T, the stack checks the following values related to the identity object not in the way it is documented.

      • usVendorID
      • usProductType
      • usProductCode

      Currently not only the parameters itself are checked (valid range etc), but also the relationship between these.
      Currently either all of them must be != 0 or all must be == 0.
      This shall be changed so that they can be configured independently of each other.

        Attachments

          Issue Links

            Expenses

              Activity

                Status Description

                  People

                  • Reporter:
                    ABe Andreas B [X] (Inactive)
                  • Votes:
                    0 Vote for this issue
                    Watchers:
                    0 Start watching this issue

                    Dates

                    • Created:
                      Updated:
                      Resolved: